Leti (english) - Sur le même thème https://www.leti-cea.com/cea-tech/leti/english/Pages/What's-On/News/a-major-advance-in-high-performance-computing-information-and-communication-technologies.aspx Homepage - Official website for Leti's Center for Research and Specialized Technology in micro and nanotechnologies. Grenoble, Isère (38), France en-US https://www.leti-cea.com/cea-tech/leti/english/SiteAssets/LETI-small.png Leti (english) - Sur le même thème https://www.leti-cea.com/cea-tech/leti/english Epitaxy: an essential building block for future devices https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/epitaxy.aspx Epitaxy has become a key process in the development of devices that rely on substrates. While epitaxy refers to the general ability to grow crystals or deposit a material on a substrate, there are numerous types of epitaxy, which each have their own fields of application. Expertise at CEA-Leti covers a wide range of applications, including recent work on Nitride Epitaxy, particularly adapted to power or radio-frequency transistors as well as micro-LEDs. New technologies Companies ; Researchers ; Journalists News ; Focus Thu, 28 Mar 2024 13:30:57 GMT Innovative vibration sensors: Wormsensing ready for mass production https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/wormsensing.aspx Four years after launch, the CEA-Leti start-up Wormsensing has invested in a production line capable of manufacturing two million vibration sensors per year. Enough to win volume orders from customers in the energy, automotive, healthcare and manufacturing sectors. New technologies Companies ; Researchers ; Journalists News ; Focus Thu, 28 Mar 2024 13:01:43 GMT Ultra-miniaturized antennas for satellite to IoT connections https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/kineis.aspx CEA-Leti and the startup Kineis recently developed ultra-miniaturized antennas that significantly improve communication between earth-based objects and satellites. These improvements include lower power consumption and costs, improved reception of messages and an insensitivity to an equipment’s orientation. These advances open the door to low-cost radio links that could be accessible around the globe. New technologies ; Satellites Companies ; Researchers ; Journalists News ; Focus space;satellite;antenna;kineis; Thu, 28 Mar 2024 13:01:25 GMT Criminality, Terrorism, and Encrypted Data: “Exceptional” results for the European ExFiles project https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/exfiles.aspx Developing new methods to extract encrypted data from cell phones and assist with criminality or terrorism matters: such was the purpose of the European ExFiles project, which was under the technical coordination of CEA-Leti from 2020 to 2023. The European Commission described the results as ‘very positive’. New technologies ; Cybersécurité Companies ; Researchers ; Journalists News ; Focus projet européen;cyber sécurité;New technologies;data; Thu, 28 Mar 2024 13:01:08 GMT CEA-Leti researchers achieve world record in micro-OLED optical communications https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-researchers-achieve-world-record-in-micro-OLED-optical-communications.aspx On-chip batteries will play a key role in our increasingly connected, electrified, and sustainable world. As wireless sensor technologies improve and the Internet of Things expands, the need for tiny, high-energy-density solid-state integrated batteries for a rapidly-growing number of use cases is urgent. CEA-Leti is pushing back the frontiers of on-device energy storage with innovative battery performance optimization tools. New technologies News Tue, 26 Mar 2024 08:30:10 GMT AWARD – Congratulations to Jules Tillement for winning the best student paper prize! https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD-Jules-Tillement.aspx After earning a Materials Engineering diploma from INSA Lyon, Jules Tillement did a final internship in a research laboratory specializing in image sensors. He was then recruited to pursue a doctorate at STMicroelectronics, in partnership with CEA-Leti. Photonics general public ; Teachers ; Young people ; Institutions News ; Prizes and awards award;News; Tue, 26 Mar 2024 08:14:44 GMT Piezoelectric materials could enable more compact, efficient power converters https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/piezoelectric-materials-could-enable-more-compact-efficient-power-converters.aspx When operated at high frequencies, certain piezoelectric materials display exceptional quality factors and power densities. CEA-Leti and an academic research partner have been investigating how to bring these remarkable properties to power conversion in the form of a new inductor-free power converter concept based on mechanical, rather than magnetic, energy storage. New technologies News Thu, 21 Mar 2024 14:30:03 GMT New model for the optimization of high-energy-density microbatteries for IoT devices https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/new-model-for-the-optimization-of-high-energy-density-microbatteries-for-IoT-devices.aspx On-chip batteries will play a key role in our increasingly connected, electrified, and sustainable world. As wireless sensor technologies improve and the Internet of Things expands, the need for tiny, high-energy-density solid-state integrated batteries for a rapidly-growing number of use cases is urgent. CEA-Leti is pushing back the frontiers of on-device energy storage with innovative battery performance optimization tools. New technologies News Tue, 12 Mar 2024 09:00:00 GMT Private 5G networks: a solution for connected ports https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/private-5G-networks.aspx Late 2023, CEA-Leti executed a real-scale validation of private 5G network elements capable of offering a data rate of at least 20 Mbps at a 30 km distance. Intended for port operations, it could be adapted to other uses when public networks fail to achieve the required performance. New technologies Companies ; Researchers ; Journalists News ; Focus Wed, 28 Feb 2024 11:00:00 GMT Insulated recessed gate GaN power transistors enable promising normally-OFF architecture https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Insulated-recessed-gate-GaN-power-transistors-enable-promising-normally-OFF-architecture.aspx CEA-Leti and STMicroelectronics made advances on an insulated recessed-gate GaN (gallium nitride) power transistor that will improve device reliability.​​ New technologies News Wed, 21 Feb 2024 11:46:01 GMT AWARD – Congratulations to Tristan Caroff and Rossignol’s teams for winning the IoT Lab prize at the 2023 IoT Awards! https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/award-tristan-caroff.aspx ​​​​​​​​​​​Anticipating future needs on the ski market while considering the challenges of more sustainable practices was the goal that the teams from CEA-Leti and Rossignol achieved together, following a 'lab to fab' model. Having completed a doctorate in material science at Grenoble INP and launched his career at CEA-Liten, Tristan joined CEA-Leti in 2015 and took over the Smart Ski Experience project in 2022. New technologies Companies ; Researchers ; Journalists News ; Focus Mon, 05 Feb 2024 11:00:00 GMT Highlights 2023: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Best-Of-2023-Full.aspx ​​​It seems like wherever you looked in 2023, someone was talking or writing about chips. As a leading center for IC R&D, CEA-Leti made quite a ​​bit of its own chip-related news last year.​ New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Wed, 24 Jan 2024 11:00:00 GMT €172 million were raised by CEA-Leti incubated startups in 2023 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/funds-raised-startups-2023.aspx In 2023, funds raised by CEA-Leti incubated startups reached an all-time high of €172 million. These operations specifically fund building or extending production lines, making disruptive technologies accessible to consumers and companies. New technologies Companies ; Researchers ; Journalists News ; Focus startups; Fri, 19 Jan 2024 11:00:00 GMT The CEA shares its innovations at CES https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Events/cea-ces-2024.aspx The CEA – is one of the most innovative research and technology bodies in the world, and as such, has attended the CES trade show in Las Vegas for ten years with a view to presenting its demos and the start-ups created through its laboratories and expertise, all supported by its impressive patent portfolio. From January 9 to 12, 2024, meet the CEA at booth #61101, Venetian Expo, Hall G New technologies ; Innovation for industry ; Health & life sciences Institutions ; general public ; Researchers DAM News Fri, 22 Dec 2023 14:08:54 GMT Lubsens: a compact lubricant sensor to track industrial machine wear https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/NEWS-Lubsens.aspx Founded in July 2020 by Hafa and IESPM, the startup Lubsens is commercializing a compact solution to monitor machine lubrication and anticipate industrial maintenance needs. This innovative solution was made possible by CEA-Leti’s development of a miniaturized sensor that can identify, count and categorize particles in industrial lubricants. New technologies News partnership; Tue, 05 Dec 2023 15:22:34 GMT Aledia raises 120 million euros for MicroLED industrialization https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/aledia.aspx With the support of its historic partners (CEA Investissement, Supernova Invest, Braemar Energy Ventures, Bpifrance and the French government), Aledia has raised the funds required to launch the industrialization of its MicroLED technology for displays. First up, the company will implement production for the smartwatch, smartphone, tablet, large screen LED display, large TV display and laptop markets before ratcheting up its production to target augmented reality and automobile markets. New technologies Companies ; Researchers ; Journalists News ; Focus startups; Thu, 16 Nov 2023 11:00:00 GMT AWARD - Best Poster Presentation @E\PCOS 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD---Best-Poster-Presentation-@EPCOS-2022.aspx Lets congratulate Anthony Albanese for his best poster presentation for Chalcogenides for applications in photonics and neuromorphism: innovative materials, from their integration to photonic devices New technologies News award; Tue, 07 Nov 2023 10:00:03 GMT AWARD – Congratulations to Nada and Philippe for their awards from the 2023 International Workshop on Junction Technology! https://www.cea.fr/cea-tech/leti/english/Pages/What-s-On/News/award-nada-philippe.aspx Electrical junctions are essential to the proper functioning of integrated circuits. While they happen to only make up a small proportion of the total of a device’s weight, their manufacturing process requires a great deal of energy and materials. The process therefore must be optimized to reduce their impact while ensuring state-of-the-art performance. This is specifically the challenge on which Nada and Philippe have focu​​sed their research. ​ New technologies Companies ; Researchers ; Journalists News ; Focus award; Fri, 03 Nov 2023 11:00:00 GMT Soitec: a new plant for SmartSiC™ substrates https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/soitec-smartsic-plant.aspx On September 28th, 2023, Soitec inaugurated a new production facility dedicated to SmartSiC™ substrates. The production of these substrates relies on Soitec's SmartCut™ technology, which reduces CO2 emissions by up to 70% during wafer production. New technologies Journalists ; Companies Leti News Fri, 27 Oct 2023 10:00:00 GMT 17th edition of Leti Innovation Days Tokyo https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/leti-innovation-days-tokyo-2023.aspx The 17th edition of Leti Innovation Days Tokyo was held at the residence of the French Ambassador to Japan on October 10, 2023, before a prestigious gathering of Japanese industry representatives. New technologies Journalists ; Companies Leti News Wed, 25 Oct 2023 10:00:00 GMT New processes and materials drive advances in transparent piezoelectric thin layer integration https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/piezoelectric-actuators-integrated.aspx Perfectly-transparent piezoelectric materials could enable new high-performance haptic interfaces, groundbreaking products like smart and self-cleaning windows, and novel piezo transducers for photoacoustic imaging. Unfortunately, these materials are difficult to manufacture. CEA-Leti recently came up with innovations in both processes and materials that get around some of the issues plaguing PZT, one of today’s most commonly-used piezoelectric materials. New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Mon, 23 Oct 2023 10:00:00 GMT AWARD - Best Student Presentation award @ECS '22 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD - Best Student Presentation award.aspx Constantly yielding important breakthroughs, epitaxy research is an extremely dynamic field. Epitaxy involves developing techniques to produce high-quality monocrystalline films with specific properties. Accurately controlling film growth, doping, and heterostructure formation has turned the method into a crucial component for the semi-conductor industry, enhancing the performance, scalability, and integration of semi-conductors. Having devoted their thesis to this topic, Justine and Marvin received awards for their innovations. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Wed, 11 Oct 2023 08:59:58 GMT Highlights 2023: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Best-Of-2023.aspx CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute's reader-friendly "2023 Highlights" report New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Wed, 04 Oct 2023 10:00:00 GMT AWARD – Thomas Ernst nominated at Academia Europaea 2023 https://www.cea.fr/cea-tech/leti/english/Pages/What-s-On/News/Award-ThomasErnst.aspx At CEA-Leti, researchers benefit from a rich ecosystem that facilitates sharing views around major societal challenges. Innovation also requires knowing how to inspire future talent. In Europe, Thomas Ernst is convinced that one of the challenges of decades to come will "involve mobilizing future generations around the new issues from the great ‘technological adventure". New technologies Companies ; Researchers ; Journalists News ; Focus Fri, 29 Sep 2023 10:00:00 GMT Cold could someday be used to treat epilepsy https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/cold-could-be-used-epilepsy-clinatec.aspx The Epicool project is investigating the use of optics to cool epileptogenic areas in the brains of epilepsy patients resistant to other treatments. A prototype implantable device is currently being developed and will be miniaturized before animal testing begins. New technologies Companies ; Journalists ; Researchers Grenoble News healthcare;CEA Tech;Human health and the environment;optics and photonics;DEFENSE;information and communication technologies;technologies for healthcare;Clinatec; Fri, 29 Sep 2023 10:00:00 GMT Technologies for the entire image chain from capture to processing to display… https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Imagers_&_Displays.aspx MEMS and NEMS—micro- and nanoelectromechanical systems—are tiny devices like sensors, actuators, and micromirror arrays, with moving parts that are sensitive to a physical quantity like speed, pressure, or direction. They convert this physical quantity into an electrical signal, which can, in some cases, operate an integrated micro-actuator. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus optic;photonics;News;image; Fri, 29 Sep 2023 10:00:00 GMT AWARD - Intel Supply Chain Best Student award @SPIE Advanced Lithography '23 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD - Raphael Feougier.aspx They are the hundreds of unit structures, ranging from 5 to 50 µm that can be seen on the surface of most insect eyes. These microlenses, which comprise an anti-reflective (AR) architecture, help a large amount of a light source converge toward photoreceptor cells, thus facilitating light trapping in dark environments. But that is not all, as these anti-reflective nanostructures also enable a surface's self-cleaning feature. For arthropods, this is a question of survival, while Raphaël sees it as a source of inspiration for improving energy efficiency in devices such as photovoltaic panels or imagers, which could greatly improve the trapping of light. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Fri, 29 Sep 2023 10:00:00 GMT AWARD - Best Student Paper "honorable mention" @ISAP'22 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Award-Marwan-Jadid.aspx ​The field of satellite technology is undergoing a revolution with the development of “New Space” and miniaturized antennas. As space becomes increasingly crowded and raises the need for optimized power directions, the ability to reduce the size and weight of satellite antennas while maintaining their performance is essential. Marwan Jadid delivered encouraging results during his presentation, showing how these advancements are expanding possibilities for applications and services that were once thought impossible. New technologies News Thu, 27 Jul 2023 11:35:30 GMT Health - Enabling technologies for health at all stages of life https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Health.aspx Human and animal health and the environment are inextricably linked. CEA is developing enabling technologies to support an integrated approach that spans diagnostics, therapeutics, prevention, and monitoring. Micro-nanoelectronics ; New technologies ; Health & life sciences News ; Files ; Focus Thu, 27 Jul 2023 07:59:43 GMT AWARD - Outstanding Interactive Presentation Paper - Aurélia Plihon https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD_Outstanding-Interactive-Presentation-Paper.aspx Advanced 3D packaging is a complex technology that is expected to become increasingly important in packaging a wide range of electronic devices in various fields such as IoT, AI, or medical fields. Finding viable solutions to meet the growing global demand for new integration developments has therefore become relevant. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Tue, 25 Jul 2023 10:00:00 GMT Radiofrequency & silicon photonics for high-performance, low-power, secure data transmission https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Data_Communications.aspx ​​As the number of IoT connections continues to expand, new data communication link solutions will be needed to cope with the data deluge and enable a more sustainable digital (r)evolution. Micro-nanoelectronics ; New technologies ; Radio frequency News ; Files ; Focus Mon, 17 Jul 2023 08:17:18 GMT AWARD - Electronics & Photonics Division - Jean-Michel Hartmann https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD_Electronics_&_Photonics_Division.aspx ​​​The surge in nanoelectronics and photonics has resulted from a need for miniaturized tools and devices that are an integral part of our lives. Additionally, it has now become necessary to consider innovation through issues involving planetary resources and energy consumption. Because it is installed in miniaturized devices, silicon-based CMOS technology is now central to these issues. This presents significant challenges for reaching a low energy consumption that aligns with current societal preoccupations. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Thu, 13 Jul 2023 10:00:00 GMT MEMs and NEMs sensors and actuators https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/MEMS_and_NEMS_Sensors_&_Actuators.aspx MEMS and NEMS—micro- and nanoelectromechanical systems—are tiny devices like sensors, actuators, and micromirror arrays, with moving parts that are sensitive to a physical quantity like speed, pressure, or direction. They convert this physical quantity into an electrical signal, which can, in some cases, operate an integrated micro-actuator. Micro-nanoelectronics ; New technologies News ; Focus Fri, 07 Jul 2023 10:00:00 GMT Edge AI, in-memory computing, and neuromorphic computing for smart, fast, compact, secure, low-power IoT devices https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Edge-AI-in-memory-computing-neuromorphic-computing-for-IoT-devices.aspx As embedded AI algorithms become more sophisticated, they also become more data intensive. In-memory computing dramatically reduces the power consumption associated with data transfer between memory and logic while helping keep data more secure. New non-volatile memory technologies that imitate the human brain’s energy-efficient synapses are also driving new low-power solutions. CEA develops and integrates all these technologies for a range of IoT projects. Micro-nanoelectronics ; New technologies News ; Files ; Focus Tue, 04 Jul 2023 09:28:14 GMT Advanced Packaging, Performance, power, size, weight, cost… The choice is yours! https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Advanced_Packaging.aspx ​​​​​As the limits of Moore’s Law approach, advanced chip packaging has emerged as a solution for continued scaling. CEA offers a complete chiplet and 3D integration toolkit enabling a modular “one to many” approach that speeds up the development of new solutions for automotive, high-performance computing,data centers, imaging, and more.​ Micro-nanoelectronics ; New industrial production processes ; New technologies News ; Files ; Focus Tue, 04 Jul 2023 09:27:36 GMT With NextGen, CEA is inventing the future generations of electronic chips to maintain France’s competitiveness https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/nextgen-inventing-future-generations-electronic-chips.aspx ​By launching the NextGen project, CEA aims to develop new generations of FD-SOI chips with better energy efficiency. It will ensure the capacity to engineer the most advanced components and maintain French and European microelectronics competitive for the future markets. New technologies ; Micro-nanoelectronics Institutions ; Companies ; Researchers Grenoble News NextGen;components;FD-SOI technologies;cleanroom; Tue, 27 Jun 2023 10:00:00 GMT AWARD - Honorable Mention @ ICASSP 2023 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Personnalized_Medecine.aspx For a while now, articles on the threat of artificial intelligence to certain professions have been circulating. While it is true that AI is changing the way we work, Salam Hamieh believes that the issue is not about machines replacing humans, but rather about humans and machines working together to achieve better results. ​ Artificial intelligence News Tue, 13 Jun 2023 10:00:00 GMT AWARD - Best poster @POWERMEMS"22 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD-Best-poster@POWERMEMS22.aspx Gaël Pillonnet, a seasoned energy conversion expert at CEA-Leti, has come up with an ingenious solution that significantly reduces the energy consumption of traditional transistors, albeit at a slower computation speed. This opens up a host of possibilities for achieving energy efficiency and computational performance, especially in edge computing. New technologies Companies ; Researchers ; Journalists Leti News Edge computing;award;energy consumption;IoT; Tue, 16 May 2023 09:49:32 GMT CEA-Leti: a Top 5 global leader of semiconductor patents https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-a-Top-5-global-leader-of-semiconductor-patents.aspx Thanks to its dedication to research, innovation and the transfer of technology, CEA continues to be a leader amongst French, European and international research organizations. For the 11th year in a row, CEA ranks in the Top 100 Global Innovators by Clarivate. This international ranking once again highlights the relevance and success of CEA’s strategy for intellectual property. New technologies News patents;ranking;clarivate;Patent; Wed, 26 Apr 2023 06:00:00 GMT Infrared vision: exceptionally sharp images https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Infrared-vision-exceptionally-sharp-images.aspx The CEA-Leti transfer of technology to LYNRED provides a set of technological building blocks for cooled infrared detectors with record-breaking performance Defence & security ; New technologies News Infrared;Lynred; Tue, 25 Apr 2023 07:00:00 GMT International Space Station: the hunt for bacteria https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/International-Space-Station-the-hunt-for-bacteria.aspx How can we prevent bacteria from settling and proliferating on the inside surfaces of the International Space Station (ISS)? Since 2016, CEA-Leti has been collaborating with Laurence Lemelle and Christophe Place (ENS Lyon) to solve this challenge as part of the Matiss project, which is funded by CNES. In particular, the project aims to develop smart, bio-inspired coatings without toxic metals or nanoparticles. Matter & the Universe ; Bacteria ; New technologies Researchers ; Journalists Leti News space;ISS;bacteria;CNRS; Tue, 14 Mar 2023 14:21:53 GMT A transistor inspired by human synapses https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/A-transistor-inspired-by-human-synapses.aspx CEA-Leti has developed a transistor inspired by human synapses – an innovation that is quite possibly the closest we’ve come to imitating how the brain’s neurons connect. This transistor boasts many similarities with human synapses: how it operates, its ultra-low energy consumption and a similar level of miniaturization. It opens the door to a future with more powerful circuits that will meet the needs of artificial intelligence. Artificial intelligence ; Micro-nanoelectronics ; New technologies Journalists ; Researchers ; Companies Leti News CMOS;transistor;Artifical intelligence;biomimetics; Mon, 06 Mar 2023 09:52:10 GMT Choosing the right antibiotic in only two hours https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Choosing-the-right-antibiotic-in-only-two-hours.aspx Currently, labs require at least eight hours to determine the most efficient antibiotic treatment for a given infection. SUPPLY, a new research project at CEA-Leti, hopes to revolutionize this process thanks to a novel method of analysis based on “optical tweezers”. The project aims to create a prototype that could enable labs to confirm a treatment in only two hours. New technologies ; Health & life sciences Researchers ; Companies ; Journalists Leti News analysis;Medical;health;Antibiotic; Thu, 02 Feb 2023 11:00:00 GMT AWARD - FDN 2022 best poster for DNA Nanotech Breakthrough https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD-Ludwig_Rotsen.aspx Ludwig Rotsen wanted to draw inspiration from life to create advanced nanotechnologies, and he has done an excellent job addressing his goal, confirming CEA-Leti's focus on always reinventing innovation based on available resources and on demand. Micro-nanoelectronics News Wed, 01 Feb 2023 08:16:24 GMT Highlights 2022: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Highlights-2022-CEA-Leti-at-a-Glance-full.aspx CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute's reader-friendly "2021 Highlights" report New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Mon, 30 Jan 2023 11:00:00 GMT CEA-Leti: innovation to fuel 6G wireless communications https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-innovation-to-fuel-6G-wireless-communications.aspx As 5G technology is deployed around the world, researchers at CEA-Leti are already designing solutions to meet the needs of 6G wireless technology. By combining existing manufacturing processes and an innovative architecture, CEA-Leti teams have successfully demonstrated a solution for D-Band (140 GHz) wireless communications. New technologies ; Communications Researchers ; Journalists ; Companies Leti News Wireless communication;CMOS;telecommunication;Telecom;6G; Wed, 25 Jan 2023 14:16:27 GMT CEA-Leti: five startups created in 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-five-startups-created-in-2022.aspx 2022 was a prolific year at CEA-Leti. The institution supported the creation of five startups, two in healthcare and the rest in quantum computing, LIDAR and water quality. Let’s take quick look at their technology and potential markets New technologies Researchers ; Companies ; Journalists Leti News quantum;LIDAR;quantum computing;medtech;start-up;startups; Thu, 19 Jan 2023 12:35:32 GMT Logistics: RFID tags soon ready to add accurate location to automated stock inventories? https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/RFID-tags-location-automated-stock-inventories.aspx Thanks to a miniaturized, superdirective antenna associated with dedicated algorithms, CEA-Leti is able to read commercial RFID tags with record positioning accuracy in the reading range. This innovation opens the way for automated accurate inventory as well as the counting of goods or people and the surveillance of livestock. New technologies ; Innovation for industry ; Antenna Journalists ; Companies ; Researchers Leti News logistics;industrial facility;RFID;antenna;Farming;industry;localization; Thu, 15 Dec 2022 09:03:29 GMT Uncommonly efficient technology inspired by a common owl https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/uncommonly-efficient-technology-inspired-by-a-common-owl.aspx The barn owl, a type of common owl, deftly uses its sight and hearing together to home in on prey. The bird’s performance inspired CEA-Leti researchers to develop a particularly efficient acoustic object location system that consumes up to 100,000 times less energy than conventional systems. Embedded systems ; Micro-nanotechnologies ; Internet of things Leti News Thu, 24 Nov 2022 11:00:00 GMT 3D integration: improving the precision and speed of direct hybrid bonding https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-direct-hybrid-bonding-3d-integration.aspx CEA-Leti recently completed an equipment collaboration with SET (Smart Equipment Technology) for its direct hybrid bonding die-to-wafer process that combines precise alignment (under 1 micron) and high throughput. In collaboration with Intel, CEA-Leti is also exploring another die-to-wafer process that could multiply current production speeds by four. New technologies ; New industrial production processes Journalists ; Companies ; Researchers Leti News 3D integration;wafer;Bonding;chip; Thu, 24 Nov 2022 10:52:40 GMT MEMS micro-mirrors improve Lidar sensing for vehicles https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/MEMS-micro-mirrors-Lidar-sensing-vehicles.aspx CEA-Leti research leads to improved MEMS micro-mirrors for vehicles. This innovative technology aims to detect car tires (15cm high) from a distance of 100 meters. These research results emerged from the European Vizta project and are protected by three new patents. New technologies Journalists ; Companies ; Researchers Grenoble News Autonomous cars;MEMS;LIDAR;european project; Thu, 10 Nov 2022 10:15:11 GMT Making medical radiology more mobile and flexible https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/making-medical-radiology-more-mobile-and-flexible.aspx Whether it’s medical deserts, overcrowded hospital wards, or a lack of solutions for patients too sick to move, medical radiology is no stranger to today’s healthcare challenges. Leti News Fri, 14 Oct 2022 10:00:00 GMT Highlights 2022: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Highlights-2022-CEA-Leti-at-a-Glance.aspx CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute's reader-friendly "2021 Highlights" report New technologies News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Mon, 12 Sep 2022 10:00:00 GMT Fibromyalgia: A wristband that soothes chronic pain by stimulating endorphins https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Fibromyalgia-wristband-that-soothes-chronic-pain-by-stimulating-endorphins.aspx The startup Remedee Labs has designed the first endorphin stimulator for individual use, with the aim of providing an answer to patients' chronic pain. New technologies ; Health & life sciences Researchers ; Companies ; Journalists Grenoble News health;internet of things;IoT;medtech;Internet of objects;Pain;startup;Medical; Fri, 10 Jun 2022 07:58:20 GMT Toward a new treatment for Crohn’s Disease https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Toward-a-New-Treatment-for-Crohn’s-Disease.aspx Researchers collaborating within the European project New Deal have developed an unprecedented therapy for treating chronic inflammatory bowel diseases such as Crohn’s disease. The initial results, from in vitro and pre-clinical tests, are promising. New technologies Journalists ; Companies ; Researchers Grenoble News medtech;in vitro;clinical;lipidots;crohn;european;healthcare;therapy; Wed, 25 May 2022 11:41:48 GMT Triggering controlled avalanches from a safe distance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/triggering-controlled-avalanches-from-a-safe-distance.aspx CEA-Leti to exhibit at Moutain Planet 2022 a wireless networked detonator originally developed for the mining industry, to allow ski patrol members to trigger controlled avalanches from a safe distance. New technologies Journalists ; general public ; Researchers ; Partners Leti News Tue, 26 Apr 2022 10:00:00 GMT Quantum sensors, measurement devices with unrivaled precision https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Quantum-sensors-measurement-devices-with-unrivaled-precision.aspx While quantum computers have become the Holy Grail in the quantum field, there is a much more advanced branch which harnesses the same properties, that of quantum sensors. In fact, these have become one of the key technological solutions in the quantum computer quest, not just to detect encoded information, but also in telecommunications or medical imaging, and numerous other applications. New technologies ; Physics ; Quantum Physics Researchers ; Companies ; Journalists Grenoble News quantum;diamon display;NV;black holes;quantum engineering;Physics;seisme;quantum computer; Thu, 31 Mar 2022 14:53:23 GMT Tenfold reduction in ReRAM cell variability https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Tenfold-reduction-in-ReRAM-cell-variability.aspx A solution to the problem of excessive variability in ReRAM (resistive memory) could be found in Mott insulators, metals that conduct electricity in theory, but that turn out to be insulators. New technologies Researchers ; Companies ; Journalists Leti News mott insulators;ReRAM;memory;CNRS;data;storage; Fri, 18 Mar 2022 08:26:56 GMT Diabeloop shifts into high gear in France and around the globe https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Diabeloop-shifts-into-high-gear-in-France-and-around-the-globe.aspx Diabeloop obtained French national health insurance approval for its DBLG1 closed-loop automated insulin therapy device (DBLG1) in September. New technologies Journalists ; Researchers News diabetes;medtech;diabeloop; Thu, 10 Mar 2022 15:43:10 GMT Sylvie Menezo, a pioneer in future high performance, high-speed transmissions for data centers https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Sylvie-Menezo-low-cost-high-speed-transmissions-data-centers.aspx Scintil Photonics creates fully integrated silicon-based photonic circuits. In short, these circuits contain all the components that are required for optical communications, from lasers to modulators and photodetectors. The startup’s integrated circuits have two key advantages: they are quicker and less costly than existing solutions. New technologies Journalists ; Researchers ; Companies Leti News photonics;data;datacenter;silicium;high performance;optical;5G; Thu, 03 Mar 2022 11:00:00 GMT CEA-Leti unveils its 2021 scientific report and trends that will shape 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-2021-scientific-report-trends-2022.aspx The 2021 CEA-Leti Scientific Report highlights the major inroads it has made over the past year. Industrial partners will find within the latest R&D available to drive their business value up. New technologies Researchers ; Journalists ; Companies Leti News report;cybersecurity;6G;Microelectronics;Carbon Footprint;zero emission;photonics;silicium;Telecom;telecommunication;Scientific report;research;IoT;IA; Tue, 01 Mar 2022 08:12:08 GMT Rose to develop artificial nose for patients suffering from loss of smell https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-Ayballe-loss-of-smell.aspx Since September, CEA-Leti and startup Aryballe have been contributing to the European Rose project to design a miniaturized artificial nose. New technologies Journalists ; Companies ; Researchers Leti News ultrasounds;nose;medecine;Europe;COVID-19;Artificial;european project; Mon, 21 Feb 2022 09:45:23 GMT Shedding new light on acute stress https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Shedding-new-light-on-acute-stress.aspx A CEA-Leti PhD candidate collaborated with scientists from Gipsa-Lab and the UGA psychology and neurocognition laboratory to determine whether acute stress can be detected and assessed using an explainable and interpretable “white box” method or not. New technologies Researchers ; Journalists News stress; Mon, 14 Feb 2022 15:18:23 GMT FD-SOI chips and mobile telephony: new international success https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/FD-SOI-chips-and-mobile-telephony-new-international-success--.aspx Present in connected speakers and GPS microchips, energy efficient FD-SOI technology has become a microelectronics staple in the past three years. Born in Grenoble at CEA, FD-SOI has now established itself on the smartphone market: it’s at the core of Google’s latest pixel 6 Pro. François Andrieu, an FD-SOI expert, and Eric Mercier, co-leader of the 5G/6G program at CEA, offer explanations. New technologies Journalists ; Researchers Grenoble News mobile;FD-SOI;semiconductor;radar;semicon;smartphone; Fri, 11 Feb 2022 10:52:23 GMT Odile Allard revolutionizes cancer thyroid surgery https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Odile-Allard-revolutionizes-thyroid-surgery.aspx Odile Allard founded Fluoptics in 2009. A CEA-Leti spin-off, which became a world leader in fluorescence imaging for thyroid surgery. New technologies Journalists ; Researchers Leti News medecine;fluorescence;Tumor;Imaging;Cancer;surgery; Mon, 07 Feb 2022 15:55:35 GMT Advance in biomaterials marks a step toward personalized medical implants https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Advance-in-biomaterials-marks-a-step-toward-personalized-medical-implants.aspx The EU H2020 PANBioRA project, which will be completed at the end of 2021, is making advances that will one day help doctors optimize the biomaterials used to make medical implants on a patient-by-patient basis. New technologies Researchers ; Journalists News chip;microfluidic; Tue, 25 Jan 2022 11:00:00 GMT Neuro illumination: the Clinatec endowment fund is expanding its team https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Clinatec-endowment-fund-hires-new-neuroillumination-researchers.aspx The Clinatec endowment fund has earned the support of several patrons, including the Covea mutual insurance company, since 2020. New technologies Journalists ; Researchers Leti News Alzheimer's Disease;Parkinson's disease;Clinatec;neuroillumination; Thu, 20 Jan 2022 11:00:00 GMT Primo1D is revolutionizing textile thread thanks to RFID https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Primo1D-is-revolutionizing-textile-thread-thanks-to-RFID-.aspx Primo1D miniaturizes RFID devices to integrate them into a textile thread, a true alternative to the detachable and cumbersome RFID tag. New technologies Researchers ; Journalists Leti News RFID;memory;textile;start-up;chip; Tue, 18 Jan 2022 15:13:36 GMT Injectpower, for implantable monitoring devices that last https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Injectpower,-for-implantable-monitoring-devices-that-last.aspx The problem with implantable medical monitoring devices for organs like the eye, brain, or heart, is that the power sources are often larger than the actual sensors. New technologies Researchers ; Journalists News medtech;batteries;monitoring;organs;medecine; Wed, 12 Jan 2022 08:47:31 GMT SET, from the French Alps to the world https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/SET-from-the-french-alps-to-the-world.aspx SET (Smart Equipment Technology) is a small high-accuracy semiconductor process equipment company based in Haute-Savoie in the French Alps. This CEA-Leti and IRT Nanoelec partner recently signed an agreement with SUSS MicroTec to develop the NEO HB flip-chip machine for die-to-wafer (D2W) hybrid bonding. New technologies Researchers ; Journalists Leti News wafer;flip-chip;partnership;puces; Mon, 10 Jan 2022 08:58:00 GMT AMI - Enriching and facilitating interactions with the digital world https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AMI---Enriching-and-facilitating-interactions-with-the-digital-world.aspx ISKN, now AMI, revolutionized the relationship between physical objects and the digital world through a smart “Slate” that digitizes drawings, notes, and sketches in real time. New technologies News Drawing;augmented reality;magnetism; Tue, 21 Dec 2021 09:38:31 GMT Aryballe offers a universal electronic nose https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Aryballe-offers-a-universal-electronic-nose.aspx ​This digital sniffer can recognize thousands of smells from the most delicate (flowers, perfumes, fruits and monitoring their ripening) to the less pleasant (putrefaction, ammonia, etc.). New technologies Journalists ; general public Grenoble News Thu, 09 Dec 2021 11:00:00 GMT White LEDs : On route towards substitutes for critical materials https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/White-LEDs-On-route-towards-substitutes-for-critical-materials-.aspx With CEA-Leti’s support, LMGP, Institut Néel, and applied economics lab GAEL have been investigating the rare and critical elements (gallium, indium, yttrium, and cerium) in white LEDs. New technologies general public ; Journalists Grenoble News Rare;ecology;Material;sustainability;LED; Tue, 07 Dec 2021 11:00:00 GMT Photonic chips: toward high-throughput alignment of optical fibers https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Photonic-chips-toward-high-throughput-alignment-of-optical-fibers.aspx In research for the European Union H2020 Pixapp project, CEA-Leti scientists have developed a sub-micron-precision passive automated microlens alignment process. New technologies News Photonic chips;optical fiber; Thu, 25 Nov 2021 09:52:07 GMT EARTO INNOVATION AWARDS 2021: Smart Cut™ process https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/EARTO-INNOVATION-AWARDS-2021-Smart-Cut-process.aspx ​CEA-Leti, a CEA technology research institute, received the award in the Impact Delivered category for the development of a patented Smart Cut™ process of substrate fabrication in collaboration with SOITEC, which results in a transfer of a thin active layer of silicon from one substrate to another, which can be used in all electronic devices. New technologies Institutions ; Researchers ; Companies ; Partners Leti News mobile;IoT;power-use reductions;low power;Silicon;5G;Innovation;smartphone;6G; Thu, 25 Nov 2021 09:50:43 GMT Magnetoencephalography: towards high resolution at room temperature https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/magnetoencephalography-towards-high-resolution-at-room-temperature.aspx In a world first, a CEA-Leti team has produced a magnetoencephalography (MEG) prototype operating at room temperature that can produce brain images comparable with those generated by current equipment running at temperatures close to absolute zero. This quantum technology could expand access to MEG systems, help create highly miniaturized MEG systems and diversify their use. A start-up, MAG4Health, has recently been launched. New technologies News MEG;medecine;Alzheimer Disease;Brain;surgery; Thu, 25 Nov 2021 09:49:39 GMT Beamforming improves the angular resolution of PMUTs https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Beamforming-improves-the-angular-resolution-of-PMUTs.aspx Piezoelectric Micromachined Ultrasonic Transducers, or PMUTs, are a type of MEMS. The devices are currently plagued by an angular resolution in excess of 90° in some cases. CEA-Leti brought the figure down to 18° for the detection of an object placed 40 cm away from the device. New technologies Researchers ; Journalists News Autonomous cars;Pmut;beam;award;robot; Thu, 25 Nov 2021 09:48:51 GMT 6G: Designing a sustainable way forward https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/6G-wayforward.aspx The future of telecom relies more than ever on the semiconductor industry. While previous generations relied on the continuity of pre-existing technologies to achieve absolute performance, 6G, as viewed by CEA-Leti, aims to adopt a new approach, combining energy frugality with performance. New technologies Journalists ; general public Leti News Telecom;telecommunication;data;internet;wireless;sustainability;6G; Tue, 16 Nov 2021 11:00:00 GMT OxRAM memory almost ready to scale up for manufacturing https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/OxRAM-memory-almost-ready-to-scale-up-for-manufacturing.aspx ​Rapid advances in resistive oxide memory (OxRAM) could soon put the technology on manufacturers' production lines. New technologies News OxRAM;non-volatile memories;memory;storage; Fri, 22 Oct 2021 10:00:00 GMT Distinguishing tumors from healthy tissue at a glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Distinguishing-tumors-from-healthy-tissue-at-a-glance.aspx CEA-Leti has developed a new spectrometric imaging detector that can clearly distinguish breast tumor tissue from healthy tissue in mice at a glance. New technologies News X rays;Breast Cancer;medical imaging; Fri, 15 Oct 2021 09:11:07 GMT Tiempo Secure in collaboration with CEA makes the Internet of Things more resilient with French Government support https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Tiempo-Secure-in-collaboration-with-CEA-makes-the-Internet-of-Things-more-resilient-with-French-Government-support.aspx ​​Tiempo Secure, a secure semiconductor design company, has been selected, in a partnership with the CEA, as a winner of the Great Cybersecurity Challenge ("Grand Défi Cyber"), a project launched by the French government in 2020. The announced objective of the Great Cybersecurity Challenge is to make our systems sustainably resilient to cyberattacks. Participating in the Challenge brings a valuable support to Tiempo Secure for the development of the iMRC project, which makes IoT connected objects resistant to known and yet unknown attacks. New technologies News iMRC;cybersecurity;IoT;News;internet of things; Tue, 12 Oct 2021 11:27:09 GMT CEA-Leti’s 2020 Silicon Technologies & Components Report Now Available https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-2020-Silicon-Components-Division-Report-Now-Available-.aspx CEA-Leti unveils its 2020 Silicon Components Division report highlighting the latest advances for silicon devices and technologies. New technologies Leti News News;silicium;Scientific report; Thu, 30 Sep 2021 14:10:56 GMT Advance gives new hope for lasers without III-V materials https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Advance-gives-new-hope-for-lasers-without-III-V-materials.aspx ​III-V semiconductor materials are expensive and increasingly rare. Their replacement as the go-to material for lasers is inevitable. CEA-Leti researchers were part of an international team* that recently published a notable advance in Nature Photonics. They developed an optically-pumped IV-IV semiconductor device capable of producing a 2.5 micron laser beam with an ultra-low threshold at temperatures of 100 K. New technologies Leti News News;semiconductor;III-V material;laser; Thu, 23 Sep 2021 20:14:52 GMT Quantum: CMOS withstands very low temperatures https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Quantum-CMOS-withstands-very-low-temperatures.aspx In the future, quantum devices cooled to 10 mK will be used together with conventional electronics. Which raises the question of how well CMOS components, designed to operate at ambient temperature, hold up in temperatures close to absolute zero. To test out this scenario, researchers from Irig and CEA-Leti made hybrid circuits with the two technologies. New technologies News Mon, 23 Aug 2021 14:01:25 GMT 2021 semi-annual review: a look back over cea-leti’s activities https://www.cea.fr/cea-tech/leti/english/Pages/What-s-On/2021-semi-annual-review-a-look-back-over-cea-leti’s-activities-.aspx ​Semi-conductors are everywhere, omnipresent in our computers, telephones, cars and smart objects, concentrating some of the world's best innovations. CEA-Leti engineers are stepping up their efforts to support the strong demand for innovation, notably in the medical industry. New technologies News News;highlights 2021;Innovation; Mon, 23 Aug 2021 09:20:03 GMT A step closer to multi-time-scale neuromorphic chips https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/A-step-closer-to-multi-time-scale-neuromorphic-chips.aspx CEA-Leti is coordinating the European MeM-Scales project, which kicked off in early April. The focus? Neuromorphic chips capable of learning on several time scales. New technologies general public Grenoble News chips;MeM-Scales;Neuromorphic; Mon, 16 Aug 2021 19:48:09 GMT Wafer-level testing of photonic circuits speeds up development https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Wafer-level-testing-of-photonic-circuits-speeds-up-development.aspx Optically-coupled photonic chips cannot currently be tested at wafer level. Instead, they must be cut, packaged, and then tested individually. New technologies general public Leti News Photonic chips; Mon, 19 Jul 2021 09:04:07 GMT Optics and Photonics 2020 scientific report https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Optics-and-photonics-Scientific-report.aspx Within CEA Tech and CEA-Leti, activities of the Optics and Photonics division cover most of the largest industrial markets for photonics: All-wavelength imaging (Gamma and X rays, visible, infrared, THz) Optical data communications Optical environmental and 3D sensors Information displays The R&D projects are carried out with both industrial and academic partners. The industrial partners of the Optics and Photonics division range from local SMEs to overseas and global companies. Our developments merge fundamental physical aspects with advanced technological developments, they interweave nano-sciences, optics, micro-electronics, advanced nano-fabrication, integration and packaging, while taking into account system requirements. Optics and Photonics division employs 345 persons overall, 235 permanent R&D engineers and technicians, 43 CEA experts and 45 PhD students and post docs. 74 patents filed in 2020 and 700 patents in portfolio New technologies Leti News News;Scientific report;optics and photonics; Mon, 12 Jul 2021 07:53:10 GMT NB-IoT: some adaptations for satellite communications https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/NB-IoT-some-adaptations-for-satellite-communications.aspx Satellite NB-IoT communications could prove useful wherever terrestrial base stations are lacking, such as in sparsely-populated rural areas and in the oceans, for example. New technologies Journalists ; general public Grenoble News rural;wireless;access;Community;internet; Mon, 05 Jul 2021 10:00:00 GMT Systems Scientific Report https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/scientific-report-systems-2020.aspx on the Minatec Campus, the System Division gathers over 300 high level researchers and engineers. This division is at the strategic core of CEA-Leti’s technological innovation and aims to provide a global and valuable “system perspective” on technological trends. Our’s expertise is based on four major pillars which are (i) wireless communications, (ii) innovative sensor-system design, (iii) power management and electronics for energy and (iv) security solutions for electronic systems and components. Its teams are using tools and know-hows inherited from the physics, electromagnetism and electronic areas as well as from the signal and data processing domains; additionally, they have access to state-of-the-art facilities for the simulation, characterization and prototyping of complex electronic systems. New technologies News news scientific report;Systems; Tue, 29 Jun 2021 10:00:00 GMT Grenoble Alpes: three valleys and a booming nanotechnology ecosystem https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Grenoble-Alpes-three-valleys-and-a-booming-nanotechnology-ecosystem--.aspx New technologies News Thu, 17 Jun 2021 10:00:00 GMT Silicon can emit single photons at 1.28 microns https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Silicon-can-emit-single-photons-at-1-28-microns.aspx CEA-Irig was among the partners on a French national research agency (ANR) project that resulted in the on-demand emission of single photons in silicon at 1.28 µm, a wavelength used in telecommunications. They did it by introducing carefully-engineered defects into the material. The goal is to integrate this photon source into CEA-Leti chips for quantum communications. New technologies Companies Leti News Silicon;News;photons;singel photons; Thu, 20 May 2021 10:00:00 GMT Quantum: New silicon qubit tester will save years https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Quantum-New-silicon-qubit-tester-will-save-years.aspx A silicon qubit tester recently acquired by CEA-Leti will substantially speed up quantum computer research. The tester can measure the electrical performance of several hundred qubits processed on a 300 mm wafer at 1.7 K (-271.3 °C). The qubits that make it through these tests will then be tested individually on a cryostat at Institut Néel at temperatures below 100 mK, a requirement for integration into quantum processors. New technologies Researchers ; Companies Leti News quantum;News;silicon Qubit;300 mm wafer; Thu, 06 May 2021 07:45:23 GMT Special 2020: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What-s-On/Special 2020-CEA-Leti-at-a-Glance.aspx 2020 will be forever in our memory! And as the world moved on to post-covid-19 era, the semiconductor industry’s response has been watched closely, because its technologies are vital to the success of the global economy and advances across multiple industries. From digital, computing to sensing competitive solutions, CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute’s reader-friendly “2020 Highlights” report: New technologies Researchers ; Companies Leti News highlights2020;News; Tue, 04 May 2021 15:11:13 GMT Germanium laser on silicon contacts could become more stable https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Germanium-laser-on-silicon-contacts-could-become-more-stable.aspx Optronics researchers love the idea of germanium lasers on silicon—but the devices' contacts are highly thermally unstable. In a world-first, a PhD research project being conducted at CEA-Leti has explained this unpredictable behavior. PhD candidate Andrea Quintero has published ten papers on the phenomenon over the past three years, even winning a Best Paper Award at ECS Prime 2020. New technologies general public ; Researchers Leti News germanium laser;nanocharacterisation;best paper award;ECS prime;News; Thu, 29 Apr 2021 09:20:00 GMT IoT: Making security better, together https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/IoT-Making-security-better-together.aspx The European DigiFed project, coordinated by CEA-Leti under the IRT Nanoelec technology research organization, brings together sixteen European SMBs around cybersecurity for IoT applications. Specifically, the project partners are investigating the use of STMicroelectronics’ STM32MP1 microprocessor to enhance the security of embedded software. New technologies general public ; Researchers Leti News News;IoT;IRTnanoelec;DigiFed; Wed, 28 Apr 2021 07:43:18 GMT New electric motor components for fixed-gear bicycles https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/New-electric-motor-components-for-fixed-gear-bicycles.aspx Cyclists who love the "fixie" (a fixed-gear city bike with no mudguards) could soon enjoy the comfort of a streamlined and quiet electric start-assist motor. CEA-Leti invented and patented elementary motor components that can be adapted in terms of size, number, and arrangement to suit the target application. New technologies News Battery;fixie;ebikes;News; Mon, 12 Apr 2021 13:02:25 GMT Scanner: improve medical diagnosis with algorithmic models https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Scanner-improve-medical-diagnosis-with-algorithmic-models.aspx Imaging has already been revolutionizing medical diagnosis for over four decades. CEA-Leti, which produced and installed the first French scanner in 1976, has been working tirelessly to develop equipment offering increasingly advanced performances. New technologies Companies ; Researchers Leti News ; Focus scanner;medical devices;3D images;medical diagnosis; Tue, 06 Apr 2021 12:31:41 GMT Fewer post-op complications for colorectal cancer patients https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Fewer-post-op-complications-for-colorectal-cancer-patients.aspx CEA-Leti is engaged in the EIT Exuchek project, which addresses this issue. The institute is developing a comprehensive CAL monitoring system that will include a device that can be integrated into the surgical drain, an algorithm to trigger alerts at certain thresholds, and a user interface for caregivers. New technologies general public Leti News colorectal cancer;EIT Exuchek project;medical devices;News;healthcare; Tue, 30 Mar 2021 08:19:09 GMT Kalray reaches €97 million raised since it was founded in 2008 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Kalray-reaches-€97-million-raised-since-it-was-founded-in-2008.aspx Kalray, a CEA-Leti spinoff founded in 2008 and publicly traded since 2018, recently raised €5.2 million in fresh capital. The company, which designs multicore, massively parallel microprocessors has now raised a total of €97 million. New technologies Companies Leti News startups;coolidge;Kalray;Microprecessor;datacenter; Fri, 26 Mar 2021 14:48:40 GMT