Leti (english) - Sur le même thème https://www.leti-cea.com/cea-tech/leti/english/Pages/What's-On/Press release/Lifi-Multicell-at-CES-2020.aspx Homepage - Official website for Leti's Center for Research and Specialized Technology in micro and nanotechnologies. Grenoble, Isère (38), France en-US https://www.leti-cea.com/cea-tech/leti/english/SiteAssets/LETI-small.png Leti (english) - Sur le même thème https://www.leti-cea.com/cea-tech/leti/english Piezoelectric materials could enable more compact, efficient power converters https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/piezoelectric-materials-could-enable-more-compact-efficient-power-converters.aspx When operated at high frequencies, certain piezoelectric materials display exceptional quality factors and power densities. CEA-Leti and an academic research partner have been investigating how to bring these remarkable properties to power conversion in the form of a new inductor-free power converter concept based on mechanical, rather than magnetic, energy storage. New technologies News Tue, 12 Mar 2024 09:30:20 GMT CEA-Leti researchers achieve world record in micro-OLED optical communications https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-researchers-achieve-world-record-in-micro-OLED-optical-communications.aspx On-chip batteries will play a key role in our increasingly connected, electrified, and sustainable world. As wireless sensor technologies improve and the Internet of Things expands, the need for tiny, high-energy-density solid-state integrated batteries for a rapidly-growing number of use cases is urgent. CEA-Leti is pushing back the frontiers of on-device energy storage with innovative battery performance optimization tools. New technologies News Tue, 12 Mar 2024 09:26:05 GMT New model for the optimization of high-energy-density microbatteries for IoT devices https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/new-model-for-the-optimization-of-high-energy-density-microbatteries-for-IoT-devices.aspx On-chip batteries will play a key role in our increasingly connected, electrified, and sustainable world. As wireless sensor technologies improve and the Internet of Things expands, the need for tiny, high-energy-density solid-state integrated batteries for a rapidly-growing number of use cases is urgent. CEA-Leti is pushing back the frontiers of on-device energy storage with innovative battery performance optimization tools. New technologies News Tue, 12 Mar 2024 09:00:00 GMT AWARD – Congratulations to Jules Tillement for winning the best student paper prize! https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD-Jules-Tillement.aspx After earning a Materials Engineering diploma from INSA Lyon, Jules Tillement did a final internship in a research laboratory specializing in image sensors. He was then recruited to pursue a doctorate at STMicroelectronics, in partnership with CEA-Leti. Photonics general public ; Teachers ; Young people ; Institutions News ; Prizes and awards award;News; Tue, 05 Mar 2024 08:48:34 GMT Private 5G networks: a solution for connected ports https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/private-5G-networks.aspx Late 2023, CEA-Leti executed a real-scale validation of private 5G network elements capable of offering a data rate of at least 20 Mbps at a 30 km distance. Intended for port operations, it could be adapted to other uses when public networks fail to achieve the required performance. New technologies Companies ; Researchers ; Journalists News ; Focus Wed, 28 Feb 2024 11:00:00 GMT EU Consortium Developing Next-Gen Edge-AI Technologies Is Accepting Design Proposals https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/EU-Consortium-Developing-Next-Gen-Edge-AI-Technologies-Is-Accepting-Design-Proposals.aspx A new European Union consortium created to accelerate the development of next-generation, edge-AI technologies is installing cleanroom tools and gearing up to design, evaluate, test and fabricate new circuits from across Europe. New technologies Press release ; News vehicle;press release; Tue, 27 Feb 2024 11:00:00 GMT Insulated recessed gate GaN power transistors enable promising normally-OFF architecture https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Insulated-recessed-gate-GaN-power-transistors-enable-promising-normally-OFF-architecture.aspx CEA-Leti and STMicroelectronics made advances on an insulated recessed-gate GaN (gallium nitride) power transistor that will improve device reliability.​​ New technologies News Wed, 21 Feb 2024 11:46:01 GMT AWARD – Congratulations to Tristan Caroff and Rossignol’s teams for winning the IoT Lab prize at the 2023 IoT Awards! https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/award-tristan-caroff.aspx ​​​​​​​​​​​Anticipating future needs on the ski market while considering the challenges of more sustainable practices was the goal that the teams from CEA-Leti and Rossignol achieved together, following a 'lab to fab' model. Having completed a doctorate in material science at Grenoble INP and launched his career at CEA-Liten, Tristan joined CEA-Leti in 2015 and took over the Smart Ski Experience project in 2022. New technologies Companies ; Researchers ; Journalists News ; Focus Mon, 05 Feb 2024 11:00:00 GMT Highlights 2023: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Best-Of-2023-Full.aspx ​​​It seems like wherever you looked in 2023, someone was talking or writing about chips. As a leading center for IC R&D, CEA-Leti made quite a ​​bit of its own chip-related news last year.​ New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Wed, 24 Jan 2024 11:00:00 GMT €172 million were raised by CEA-Leti incubated startups in 2023 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/funds-raised-startups-2023.aspx In 2023, funds raised by CEA-Leti incubated startups reached an all-time high of €172 million. These operations specifically fund building or extending production lines, making disruptive technologies accessible to consumers and companies. New technologies Companies ; Researchers ; Journalists News ; Focus startups; Fri, 19 Jan 2024 11:00:00 GMT The CEA shares its innovations at CES https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Events/cea-ces-2024.aspx The CEA – is one of the most innovative research and technology bodies in the world, and as such, has attended the CES trade show in Las Vegas for ten years with a view to presenting its demos and the start-ups created through its laboratories and expertise, all supported by its impressive patent portfolio. From January 9 to 12, 2024, meet the CEA at booth #61101, Venetian Expo, Hall G New technologies ; Innovation for industry ; Health & life sciences Institutions ; general public ; Researchers DAM News Fri, 22 Dec 2023 14:08:54 GMT CEA-Leti Reports Breakthrough 3D Sequential Integration (3DSI) Of CMOS Over CMOS with Advanced Metal Lines https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/iedm-sequential-integration.aspx ‘Achievement establishes the feasibility of manufacturing high-performance silicon CMOS devices above an industrial platform, including state-of-the-art BEOL, without compromising the performance of the bottom layer’ New technologies Press release ; News vehicle;press release; Fri, 15 Dec 2023 11:00:00 GMT CEA-Leti Develops CMOS-Compatible 200mm Process Technology Close to State-of-the-Art GaN/SiC Performance at Lower Cost https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/iedm-gan.aspx Technology for 5G & 6G infrastructure, satcom, radar for UAV detection and other applications uses existing cleanrooms with larger substrates New technologies Press release ; News vehicle;press release; Fri, 15 Dec 2023 11:00:00 GMT CEA-Leti Paper in Nature Communications Reports First Complete Memristor-Based Bayesian Neural Network Implementation For Real-World Task https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Paper-in-Nature-Communications-Reports-First-Complete-Memristor-Based-Bayesian-Neural-Network-Implementation-For-R.aspx Breakthrough Classifies Types of Arrhythmia Recordings With Precise Aleatoric and Epistemic Uncertainty New technologies Press release ; News press release;press; Thu, 07 Dec 2023 14:00:00 GMT Lubsens: a compact lubricant sensor to track industrial machine wear https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/NEWS-Lubsens.aspx Founded in July 2020 by Hafa and IESPM, the startup Lubsens is commercializing a compact solution to monitor machine lubrication and anticipate industrial maintenance needs. This innovative solution was made possible by CEA-Leti’s development of a miniaturized sensor that can identify, count and categorize particles in industrial lubricants. New technologies News partnership; Tue, 05 Dec 2023 15:22:34 GMT Aledia raises 120 million euros for MicroLED industrialization https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/aledia.aspx With the support of its historic partners (CEA Investissement, Supernova Invest, Braemar Energy Ventures, Bpifrance and the French government), Aledia has raised the funds required to launch the industrialization of its MicroLED technology for displays. First up, the company will implement production for the smartwatch, smartphone, tablet, large screen LED display, large TV display and laptop markets before ratcheting up its production to target augmented reality and automobile markets. New technologies Companies ; Researchers ; Journalists News ; Focus startups; Thu, 16 Nov 2023 11:00:00 GMT AWARD - Best Poster Presentation @E\PCOS 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD---Best-Poster-Presentation-@EPCOS-2022.aspx Lets congratulate Anthony Albanese for his best poster presentation for Chalcogenides for applications in photonics and neuromorphism: innovative materials, from their integration to photonic devices New technologies News award; Tue, 07 Nov 2023 10:00:03 GMT AWARD – Congratulations to Nada and Philippe for their awards from the 2023 International Workshop on Junction Technology! https://www.cea.fr/cea-tech/leti/english/Pages/What-s-On/News/award-nada-philippe.aspx Electrical junctions are essential to the proper functioning of integrated circuits. While they happen to only make up a small proportion of the total of a device’s weight, their manufacturing process requires a great deal of energy and materials. The process therefore must be optimized to reduce their impact while ensuring state-of-the-art performance. This is specifically the challenge on which Nada and Philippe have focu​​sed their research. ​ New technologies Companies ; Researchers ; Journalists News ; Focus award; Fri, 03 Nov 2023 11:00:00 GMT Soitec: a new plant for SmartSiC™ substrates https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/soitec-smartsic-plant.aspx On September 28th, 2023, Soitec inaugurated a new production facility dedicated to SmartSiC™ substrates. The production of these substrates relies on Soitec's SmartCut™ technology, which reduces CO2 emissions by up to 70% during wafer production. New technologies Journalists ; Companies Leti News Fri, 27 Oct 2023 10:00:00 GMT 17th edition of Leti Innovation Days Tokyo https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/leti-innovation-days-tokyo-2023.aspx The 17th edition of Leti Innovation Days Tokyo was held at the residence of the French Ambassador to Japan on October 10, 2023, before a prestigious gathering of Japanese industry representatives. New technologies Journalists ; Companies Leti News Wed, 25 Oct 2023 10:00:00 GMT New processes and materials drive advances in transparent piezoelectric thin layer integration https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/piezoelectric-actuators-integrated.aspx Perfectly-transparent piezoelectric materials could enable new high-performance haptic interfaces, groundbreaking products like smart and self-cleaning windows, and novel piezo transducers for photoacoustic imaging. Unfortunately, these materials are difficult to manufacture. CEA-Leti recently came up with innovations in both processes and materials that get around some of the issues plaguing PZT, one of today’s most commonly-used piezoelectric materials. New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Mon, 23 Oct 2023 10:00:00 GMT CEA-Leti Launches R&D Program to Improve ‘Cooperation’ Between Autonomous Vehicles Via V2X Communication https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Autonomous-Vehicles.aspx R&D Vision Includes Adapting Wireless Technology for Drones and Robots in Factories Autonomous vehicle ; Technical and economic aspects of energy Press release ; News vehicle;press release; Tue, 17 Oct 2023 10:00:00 GMT AWARD - Best Student Presentation award @ECS '22 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD - Best Student Presentation award.aspx Constantly yielding important breakthroughs, epitaxy research is an extremely dynamic field. Epitaxy involves developing techniques to produce high-quality monocrystalline films with specific properties. Accurately controlling film growth, doping, and heterostructure formation has turned the method into a crucial component for the semi-conductor industry, enhancing the performance, scalability, and integration of semi-conductors. Having devoted their thesis to this topic, Justine and Marvin received awards for their innovations. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Wed, 11 Oct 2023 08:59:58 GMT Highlights 2023: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Best-Of-2023.aspx CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute's reader-friendly "2023 Highlights" report New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Wed, 04 Oct 2023 10:00:00 GMT AWARD – Thomas Ernst nominated at Academia Europaea 2023 https://www.cea.fr/cea-tech/leti/english/Pages/What-s-On/News/Award-ThomasErnst.aspx At CEA-Leti, researchers benefit from a rich ecosystem that facilitates sharing views around major societal challenges. Innovation also requires knowing how to inspire future talent. In Europe, Thomas Ernst is convinced that one of the challenges of decades to come will "involve mobilizing future generations around the new issues from the great ‘technological adventure". New technologies Companies ; Researchers ; Journalists News ; Focus Fri, 29 Sep 2023 10:00:00 GMT Cold could someday be used to treat epilepsy https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/cold-could-be-used-epilepsy-clinatec.aspx The Epicool project is investigating the use of optics to cool epileptogenic areas in the brains of epilepsy patients resistant to other treatments. A prototype implantable device is currently being developed and will be miniaturized before animal testing begins. New technologies Companies ; Journalists ; Researchers Grenoble News healthcare;CEA Tech;Human health and the environment;optics and photonics;DEFENSE;information and communication technologies;technologies for healthcare;Clinatec; Fri, 29 Sep 2023 10:00:00 GMT Technologies for the entire image chain from capture to processing to display… https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Imagers_&_Displays.aspx MEMS and NEMS—micro- and nanoelectromechanical systems—are tiny devices like sensors, actuators, and micromirror arrays, with moving parts that are sensitive to a physical quantity like speed, pressure, or direction. They convert this physical quantity into an electrical signal, which can, in some cases, operate an integrated micro-actuator. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus optic;photonics;News;image; Fri, 29 Sep 2023 10:00:00 GMT AWARD - Intel Supply Chain Best Student award @SPIE Advanced Lithography '23 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD - Raphael Feougier.aspx They are the hundreds of unit structures, ranging from 5 to 50 µm that can be seen on the surface of most insect eyes. These microlenses, which comprise an anti-reflective (AR) architecture, help a large amount of a light source converge toward photoreceptor cells, thus facilitating light trapping in dark environments. But that is not all, as these anti-reflective nanostructures also enable a surface's self-cleaning feature. For arthropods, this is a question of survival, while Raphaël sees it as a source of inspiration for improving energy efficiency in devices such as photovoltaic panels or imagers, which could greatly improve the trapping of light. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Fri, 29 Sep 2023 10:00:00 GMT AWARD - Best Student Paper "honorable mention" @ISAP'22 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Award-Marwan-Jadid.aspx ​The field of satellite technology is undergoing a revolution with the development of “New Space” and miniaturized antennas. As space becomes increasingly crowded and raises the need for optimized power directions, the ability to reduce the size and weight of satellite antennas while maintaining their performance is essential. Marwan Jadid delivered encouraging results during his presentation, showing how these advancements are expanding possibilities for applications and services that were once thought impossible. New technologies News Thu, 27 Jul 2023 11:35:30 GMT Health - Enabling technologies for health at all stages of life https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Health.aspx Human and animal health and the environment are inextricably linked. CEA is developing enabling technologies to support an integrated approach that spans diagnostics, therapeutics, prevention, and monitoring. Micro-nanoelectronics ; New technologies ; Health & life sciences News ; Files ; Focus Thu, 27 Jul 2023 07:59:43 GMT AWARD - Outstanding Interactive Presentation Paper - Aurélia Plihon https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD_Outstanding-Interactive-Presentation-Paper.aspx Advanced 3D packaging is a complex technology that is expected to become increasingly important in packaging a wide range of electronic devices in various fields such as IoT, AI, or medical fields. Finding viable solutions to meet the growing global demand for new integration developments has therefore become relevant. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Tue, 25 Jul 2023 10:00:00 GMT Radiofrequency & silicon photonics for high-performance, low-power, secure data transmission https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Data_Communications.aspx ​​As the number of IoT connections continues to expand, new data communication link solutions will be needed to cope with the data deluge and enable a more sustainable digital (r)evolution. Micro-nanoelectronics ; New technologies ; Radio frequency News ; Files ; Focus Mon, 17 Jul 2023 08:17:18 GMT AWARD - Electronics & Photonics Division - Jean-Michel Hartmann https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD_Electronics_&_Photonics_Division.aspx ​​​The surge in nanoelectronics and photonics has resulted from a need for miniaturized tools and devices that are an integral part of our lives. Additionally, it has now become necessary to consider innovation through issues involving planetary resources and energy consumption. Because it is installed in miniaturized devices, silicon-based CMOS technology is now central to these issues. This presents significant challenges for reaching a low energy consumption that aligns with current societal preoccupations. New technologies ; New industrial production processes Companies ; Researchers ; Journalists News ; Focus Thu, 13 Jul 2023 10:00:00 GMT MEMs and NEMs sensors and actuators https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/MEMS_and_NEMS_Sensors_&_Actuators.aspx MEMS and NEMS—micro- and nanoelectromechanical systems—are tiny devices like sensors, actuators, and micromirror arrays, with moving parts that are sensitive to a physical quantity like speed, pressure, or direction. They convert this physical quantity into an electrical signal, which can, in some cases, operate an integrated micro-actuator. Micro-nanoelectronics ; New technologies News ; Focus Fri, 07 Jul 2023 10:00:00 GMT Edge AI, in-memory computing, and neuromorphic computing for smart, fast, compact, secure, low-power IoT devices https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Edge-AI-in-memory-computing-neuromorphic-computing-for-IoT-devices.aspx As embedded AI algorithms become more sophisticated, they also become more data intensive. In-memory computing dramatically reduces the power consumption associated with data transfer between memory and logic while helping keep data more secure. New non-volatile memory technologies that imitate the human brain’s energy-efficient synapses are also driving new low-power solutions. CEA develops and integrates all these technologies for a range of IoT projects. Micro-nanoelectronics ; New technologies News ; Files ; Focus Tue, 04 Jul 2023 09:28:14 GMT Advanced Packaging, Performance, power, size, weight, cost… The choice is yours! https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Advanced_Packaging.aspx ​​​​​As the limits of Moore’s Law approach, advanced chip packaging has emerged as a solution for continued scaling. CEA offers a complete chiplet and 3D integration toolkit enabling a modular “one to many” approach that speeds up the development of new solutions for automotive, high-performance computing,data centers, imaging, and more.​ Micro-nanoelectronics ; New industrial production processes ; New technologies News ; Files ; Focus Tue, 04 Jul 2023 09:27:36 GMT With NextGen, CEA is inventing the future generations of electronic chips to maintain France’s competitiveness https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/nextgen-inventing-future-generations-electronic-chips.aspx ​By launching the NextGen project, CEA aims to develop new generations of FD-SOI chips with better energy efficiency. It will ensure the capacity to engineer the most advanced components and maintain French and European microelectronics competitive for the future markets. New technologies ; Micro-nanoelectronics Institutions ; Companies ; Researchers Grenoble News NextGen;components;FD-SOI technologies;cleanroom; Tue, 27 Jun 2023 10:00:00 GMT Concentrated competence in battery management: Vitesco Technologies France cooperates with CEA https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Concentrated-competence-in-battery-management-Vitesco-Technologies-France-cooperates-with-CEA.aspx Vitesco Technologies, a leading international provider of modern powertrain technologies and electric mobility solutions, presents the first outcome of its partnership with the CEA in France: Together they have developed a “switched battery” (SWIBA) management technology that further improves the overall performance of the powertrain of electric vehicles. New technologies ; Electric vehicles Leti Press release Hybrid Vehicle;electric mobility;automobile;electric vehicle; Tue, 20 Jun 2023 10:00:00 GMT Extending Moore’s Law: CEA-Leti & Intel to Develop Atomically Thin 2D TMDs on 300mm Wafers Using Layer Transfer Technology for Future Transistor Scaling https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Moore’s-Law-CEA-Leti-Intel-to-Develop-Atomically-Thin-2D-TMDs-on-300mm-Wafers.aspx CEA-Leti and Intel announced a joint research project to develop layer transfer technology of two-dimensional transition-metal dichalcogenides (2D TMDs) on 300mm wafers with the goal to extend Moore’s Law beyond 2030. New technologies Leti Press release Moore's law;CEA;Intel; Mon, 19 Jun 2023 11:57:42 GMT AWARD - Honorable Mention @ ICASSP 2023 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Personnalized_Medecine.aspx For a while now, articles on the threat of artificial intelligence to certain professions have been circulating. While it is true that AI is changing the way we work, Salam Hamieh believes that the issue is not about machines replacing humans, but rather about humans and machines working together to achieve better results. ​ Artificial intelligence News Tue, 13 Jun 2023 10:00:00 GMT CEA-Leti to Report New Integration & Packaging Gains for Next-Generation LiDAR Steering on Autonomous Vehicles at ECTC https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-to-Report-New-Integration-&-Packaging-Gains-for-Next-Generation-LiDAR-Steering-on-Autonomous-Vehicles-at-ECTC.aspx Seven Papers Highlight Results with High-Level Integration of Technologies & Components for HPC/Edge-AI Chiplets, Optical Computing, Displays and Imagers New technologies News ; Press release press release;ECTC;conference;press; Thu, 25 May 2023 14:00:00 GMT AWARD - Best poster @POWERMEMS"22 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD-Best-poster@POWERMEMS22.aspx Gaël Pillonnet, a seasoned energy conversion expert at CEA-Leti, has come up with an ingenious solution that significantly reduces the energy consumption of traditional transistors, albeit at a slower computation speed. This opens up a host of possibilities for achieving energy efficiency and computational performance, especially in edge computing. New technologies Companies ; Researchers ; Journalists Leti News Edge computing;award;energy consumption;IoT; Tue, 16 May 2023 09:49:32 GMT CEA-Leti: a Top 5 global leader of semiconductor patents https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-a-Top-5-global-leader-of-semiconductor-patents.aspx Thanks to its dedication to research, innovation and the transfer of technology, CEA continues to be a leader amongst French, European and international research organizations. For the 11th year in a row, CEA ranks in the Top 100 Global Innovators by Clarivate. This international ranking once again highlights the relevance and success of CEA’s strategy for intellectual property. New technologies News patents;ranking;clarivate;Patent; Wed, 26 Apr 2023 06:00:00 GMT Infrared vision: exceptionally sharp images https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Infrared-vision-exceptionally-sharp-images.aspx The CEA-Leti transfer of technology to LYNRED provides a set of technological building blocks for cooled infrared detectors with record-breaking performance Defence & security ; New technologies News Infrared;Lynred; Tue, 25 Apr 2023 07:00:00 GMT CEA-Leti to Report Progress on 3D Interconnects for Wafer-Level Platforms https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/ECTC_2023.aspx Micro-nanotechnologies News ; Press release Thu, 13 Apr 2023 10:00:00 GMT International Space Station: the hunt for bacteria https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/International-Space-Station-the-hunt-for-bacteria.aspx How can we prevent bacteria from settling and proliferating on the inside surfaces of the International Space Station (ISS)? Since 2016, CEA-Leti has been collaborating with Laurence Lemelle and Christophe Place (ENS Lyon) to solve this challenge as part of the Matiss project, which is funded by CNES. In particular, the project aims to develop smart, bio-inspired coatings without toxic metals or nanoparticles. Matter & the Universe ; Bacteria ; New technologies Researchers ; Journalists Leti News space;ISS;bacteria;CNRS; Tue, 14 Mar 2023 14:21:53 GMT A transistor inspired by human synapses https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/A-transistor-inspired-by-human-synapses.aspx CEA-Leti has developed a transistor inspired by human synapses – an innovation that is quite possibly the closest we’ve come to imitating how the brain’s neurons connect. This transistor boasts many similarities with human synapses: how it operates, its ultra-low energy consumption and a similar level of miniaturization. It opens the door to a future with more powerful circuits that will meet the needs of artificial intelligence. Artificial intelligence ; Micro-nanoelectronics ; New technologies Journalists ; Researchers ; Companies Leti News CMOS;transistor;Artifical intelligence;biomimetics; Mon, 06 Mar 2023 09:52:10 GMT Skiing more efficiently thanks to a French Alps-based collaborative innovation https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Smart-Ski-Experience.aspx New technologies ; Embedded systems Companies ; Young people ; Researchers ; Journalists News ; Press release Mon, 13 Feb 2023 15:59:55 GMT Choosing the right antibiotic in only two hours https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Choosing-the-right-antibiotic-in-only-two-hours.aspx Currently, labs require at least eight hours to determine the most efficient antibiotic treatment for a given infection. SUPPLY, a new research project at CEA-Leti, hopes to revolutionize this process thanks to a novel method of analysis based on “optical tweezers”. The project aims to create a prototype that could enable labs to confirm a treatment in only two hours. New technologies ; Health & life sciences Researchers ; Companies ; Journalists Leti News analysis;Medical;health;Antibiotic; Thu, 02 Feb 2023 11:00:00 GMT AWARD - FDN 2022 best poster for DNA Nanotech Breakthrough https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AWARD-Ludwig_Rotsen.aspx Ludwig Rotsen wanted to draw inspiration from life to create advanced nanotechnologies, and he has done an excellent job addressing his goal, confirming CEA-Leti's focus on always reinventing innovation based on available resources and on demand. Micro-nanoelectronics News Wed, 01 Feb 2023 08:16:24 GMT Highlights 2022: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Highlights-2022-CEA-Leti-at-a-Glance-full.aspx CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute's reader-friendly "2021 Highlights" report New technologies Companies ; Journalists ; Researchers Leti News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Mon, 30 Jan 2023 11:00:00 GMT CEA-Leti’s recent advances on key AR building blocks, e.g. retinal projection and holography https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Augmented-Reality-Building-Blocks.aspx ​GRENOBLE, FRANCE – Jan. 25, 2023 – Reflecting CEA-Leti's steady pursuit of developing silicon photonics and integrated optics for augmented reality (AR) glasses, the institute will update its progress on a variety of key technological building blocks such as retinal projection and holography via 15 papers and poster presentations at Photonics West 2023 in San Francisco, Jan. 28-Feb. 2. New technologies ; Communications Researchers ; Journalists ; Companies Leti News ; Press release augmented reality;retinal projection; Mon, 30 Jan 2023 09:43:18 GMT CEA-Leti: innovation to fuel 6G wireless communications https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-innovation-to-fuel-6G-wireless-communications.aspx As 5G technology is deployed around the world, researchers at CEA-Leti are already designing solutions to meet the needs of 6G wireless technology. By combining existing manufacturing processes and an innovative architecture, CEA-Leti teams have successfully demonstrated a solution for D-Band (140 GHz) wireless communications. New technologies ; Communications Researchers ; Journalists ; Companies Leti News Wireless communication;CMOS;telecommunication;Telecom;6G; Wed, 25 Jan 2023 14:16:27 GMT Electric vehicles: CEA and Renault Group develop a very high efficiency bidirectional on-board charger https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Electric-vehicles-CEA-and-Renault-bidirectional-on-board-charger.aspx The CEA and Renault Group have jointly developed a new electronic power converter architecture directly integrated into the vehicle's charger. The result of nearly three years of research and the subject of 11 joint patents, this power converter , developed from innovative materials and more compact, will reduce energy losses by 30%, improve the vehicle's recharging time and guarantee the battery's durability. Better still, it will be bidirectional by storing energy from the electricity network. New technologies ; Electric vehicles ; Energies Researchers ; Companies ; Journalists Leti Press release ; News electric vehicle;press release; Thu, 19 Jan 2023 15:17:18 GMT CEA-Leti: five startups created in 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-five-startups-created-in-2022.aspx 2022 was a prolific year at CEA-Leti. The institution supported the creation of five startups, two in healthcare and the rest in quantum computing, LIDAR and water quality. Let’s take quick look at their technology and potential markets New technologies Researchers ; Companies ; Journalists Leti News quantum;LIDAR;quantum computing;medtech;start-up;startups; Thu, 19 Jan 2023 12:35:32 GMT Logistics: RFID tags soon ready to add accurate location to automated stock inventories? https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/RFID-tags-location-automated-stock-inventories.aspx Thanks to a miniaturized, superdirective antenna associated with dedicated algorithms, CEA-Leti is able to read commercial RFID tags with record positioning accuracy in the reading range. This innovation opens the way for automated accurate inventory as well as the counting of goods or people and the surveillance of livestock. New technologies ; Innovation for industry ; Antenna Journalists ; Companies ; Researchers Leti News logistics;industrial facility;RFID;antenna;Farming;industry;localization; Thu, 15 Dec 2022 09:03:29 GMT Path Towards Full Fault-Tolerant Quantum Computing with Si-Based VLSI Technologies In Plenary Talk at IEDM 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Quantum-Program-Director,-Maud-Vinet,-Shares-the-Path-Towards--Full-Fault-Tolerant-Quantum-Computing-with-Si-Based.aspx CEA-Leti presented three papers at IEDM 2022 detailing its recent advances and future challenges in quantum computing using Si-based qubit devices with FDSOI technologies. A plenary talk presented the path towards scalable quantum computers with silicon technology. An invited paper presented CEA-Leti’s modelling approach in developing low-temperature FDSOI cryoelectronics and the third contributed paper shared the institute’s success in developing a strategy to perform electrical characterization at a large range of temperatures down to ultra-low temperatures New technologies ; Quantum Physics ; Computing News ; Press release quantum;IEDM;computing;VLSI; Thu, 08 Dec 2022 13:36:17 GMT CEA-Leti Presents RRAM’s ‘Promising Advantages’ For Neuromorphic/In-Memory Computing at IEDM 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Presents-RRAM’s-‘Promising-Advantages’-For-NeuromorphicIn-Memory-Computing-at-IEDM-2022.aspx A CEA-Leti tutorial presented at IEDM 2022 highlighted promising advantages that resistive random-access memory (RRAM) technologies hold for implementing novel neuromorphic/in-memory computing systems for massively parallel, low-power and low-latency computation. New technologies ; Computing Journalists ; Researchers Leti News ; Press release IEDM;Neuromorphic;computing; Wed, 07 Dec 2022 10:04:19 GMT Siquance: an avant-garde startup dedicated to quantum calculations https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Siquance-quantum-calculation-startup.aspx Siquance, a CEA/CNRS-inspired startup, was launched in Grenoble on the 29th of November, 2022. Co-founded and directed by Maud Vinet, Siquance aims to develop and commercialize a quantum computer built on advanced microelectronics technology and the expertise of European chip manufacturers. At its heart, this innovative startup hopes to become an avant-garde leader for France and Europe in the field of quantum computing. New technologies ; Quantum Physics Researchers ; Companies ; Journalists Leti News ; Press release quantum;semiconductor;Microelectronics;startup;start-up; Fri, 02 Dec 2022 09:55:34 GMT Uncommonly efficient technology inspired by a common owl https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/uncommonly-efficient-technology-inspired-by-a-common-owl.aspx The barn owl, a type of common owl, deftly uses its sight and hearing together to home in on prey. The bird’s performance inspired CEA-Leti researchers to develop a particularly efficient acoustic object location system that consumes up to 100,000 times less energy than conventional systems. Embedded systems ; Micro-nanotechnologies ; Internet of things Leti News Thu, 24 Nov 2022 11:00:00 GMT 3D integration: improving the precision and speed of direct hybrid bonding https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-direct-hybrid-bonding-3d-integration.aspx CEA-Leti recently completed an equipment collaboration with SET (Smart Equipment Technology) for its direct hybrid bonding die-to-wafer process that combines precise alignment (under 1 micron) and high throughput. In collaboration with Intel, CEA-Leti is also exploring another die-to-wafer process that could multiply current production speeds by four. New technologies ; New industrial production processes Journalists ; Companies ; Researchers Leti News 3D integration;wafer;Bonding;chip; Thu, 24 Nov 2022 10:52:40 GMT MEMS micro-mirrors improve Lidar sensing for vehicles https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/MEMS-micro-mirrors-Lidar-sensing-vehicles.aspx CEA-Leti research leads to improved MEMS micro-mirrors for vehicles. This innovative technology aims to detect car tires (15cm high) from a distance of 100 meters. These research results emerged from the European Vizta project and are protected by three new patents. New technologies Journalists ; Companies ; Researchers Grenoble News Autonomous cars;MEMS;LIDAR;european project; Thu, 10 Nov 2022 10:15:11 GMT CEA & Schneider Electric Extend R&D Collaboration https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Schneider-Collaboration.aspx CEA and Schneider Electric, the global leader in the digital transformation of energy management and automation, have agreed to extend their joint laboratory collaboration for three more years. The shared objective is to continue to test and fortify the security of world-leading process-and-energy technologies for greater resilience across industries. New technologies Researchers ; Companies ; Journalists Grenoble Centres News ; Press release R&D;Schneider Electric;cybersecurity;IoT;object; Tue, 11 Oct 2022 10:00:00 GMT CEA RF Chip Enables Ultralow-Power IoT Connectivity For Remote Devices Via Astrocast’s Nanosatellite Network https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/RF-chip-CEA-connectivity-IoT-ultralow-power-nanosatellite-astrocast.aspx CEA-Leti and Astrocast have announced their successful collaboration on a low-cost, bidirectional communication module that enables corporations to communicate with their remote assets in areas not covered by terrestrial networks. New technologies ; Satellites Journalists ; Companies ; Researchers Grenoble Press release satellite;IoT;RF;communication; Fri, 23 Sep 2022 09:03:04 GMT Valeo and the CEA to collaborate on advanced research in power electronic to prepare for tomorrow’s electric mobility https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Valeo-and-the-CEA-to-collaborate-on-advanced-research-in-power-electronics-to-prepare-for-tomorrow’s-electric-mobility--.aspx Valeo and the French Alternative Energies and Atomic Energy Commission (CEA) signed an agreement to collaborate on the next generations of power electronics, which are at the heart of the challenges facing tomorrow's electric mobility. New technologies Companies ; Researchers ; Journalists Leti Centres Press release ; News electric mobility;power electronics; Tue, 13 Sep 2022 10:00:00 GMT CEA & Partners Present ‘Powerful Step Towards Industrialization’ Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Partners-Industrialization-Quantum-FDSOI-Material.aspx CEA-Leti, Université Grenoble Alpes, CNRS Institut Néel, and CEA-Irig shared a new three-step characterization chain for linear silicon quantum dot (QD) arrays fabricated on fully depleted silicon-on-insulator (FDSOI) material. New technologies Companies ; Researchers ; Journalists Grenoble Centres Press release FD-SOI;Silicon;IEEE conference;quantum;quantum computing; Mon, 12 Sep 2022 10:00:00 GMT Highlights 2022: CEA-Leti at-a-Glance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Highlights-2022-CEA-Leti-at-a-Glance.aspx CEA-Leti invites decision makers on the lookout for innovative and promising chip-based R&D, as well as editors, analysts and entrepreneurs to peruse the institute's reader-friendly "2021 Highlights" report New technologies News silicium;memory;6G;semiconductor;RAM;highlights 2021;Innovation; Mon, 12 Sep 2022 10:00:00 GMT CEA-Leti Barn-Owl Inspired, Object-Localization System Uses Up to ‘5 Orders of Magnitude’ Less Energy than Existing Technology https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Barn-Owl-Inspired-Object-Localization-System.aspx Inspired by the barn owl’s neuroanatomy, CEA-Leti has developed an event-driven, object-localization system that couples state-of-the-art piezoelectric, ultrasound transducer sensors to a neuromorphic, resistive memories-based computational map. New technologies Researchers ; Companies ; Institutions ; Journalists Grenoble Press release ; News biomimetic system;nature;Bird;CMOS;Owly Eyed;memory;Neuromorphic;biomimetics;sensors;RRAM;localization;Pmut; Thu, 07 Jul 2022 13:17:22 GMT A Close Look at Major Microelectronics Challenges https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Close-Look-at-Microelectronics-Challenges.aspx To address the shortage of semi-conductors which continues to impact many sectors, including the automotive industry, and meet the growing need for electronic components, its production capacities must significantly increase. New technologies Researchers ; Companies ; Journalists ; Institutions Grenoble Press release ; News global;sovereignty;cybersecurity;semicon;Microelectronics;5G;Chips act;More than Moore;More Moore;market;component;ai;health;Telecom;COVID-19;industry;semiconductor;automotive;quantum;Europe;R&D; Thu, 07 Jul 2022 09:39:10 GMT ONWARD Awarded European Innovation Council Grant to Develop Brain-Spine Interface Technology https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/ONWARD-Award-to-Develop-Brain-Spine-Interface-Technology.aspx OWARD has been awarded a grant from the European Innovation Council (EIC) to support the development of an innovative Brain-Spine Interface technology for restoring mobility and upper limb function. New technologies ; Health & life sciences Researchers ; Companies ; Journalists Leti Press release health;medtech;medecine;clinical;mobility;Brain;therapy; Fri, 17 Jun 2022 14:22:43 GMT Fibromyalgia: A wristband that soothes chronic pain by stimulating endorphins https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Fibromyalgia-wristband-that-soothes-chronic-pain-by-stimulating-endorphins.aspx The startup Remedee Labs has designed the first endorphin stimulator for individual use, with the aim of providing an answer to patients' chronic pain. New technologies ; Health & life sciences Researchers ; Companies ; Journalists Grenoble News health;internet of things;IoT;medtech;Internet of objects;Pain;startup;Medical; Fri, 10 Jun 2022 07:58:20 GMT CEA-Leti & Intel Report Die-to-Wafer Self-Assembly Breakthrough Targeting High Alignment Accuracy and Throughput https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Intel-Report-Die-to-Wafer-Self-Assembly-Breakthrough-Targeting-High-Alignment-Accuracy-and-Throughput.aspx Paper at ECTC presents success with self-assembly process that uses capillary forces of water to align dies on target devices for possible memory, HPC and photonic applications New technologies general public ; Journalists Leti Press release Bonding;wafer;integration;semiconductor;Intel;3d;assembly;semicon;foundries; Thu, 02 Jun 2022 10:00:00 GMT Toward a new treatment for Crohn’s Disease https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Toward-a-New-Treatment-for-Crohn’s-Disease.aspx Researchers collaborating within the European project New Deal have developed an unprecedented therapy for treating chronic inflammatory bowel diseases such as Crohn’s disease. The initial results, from in vitro and pre-clinical tests, are promising. New technologies Journalists ; Companies ; Researchers Grenoble News medtech;in vitro;clinical;lipidots;crohn;european;healthcare;therapy; Wed, 25 May 2022 11:41:48 GMT Triggering controlled avalanches from a safe distance https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/triggering-controlled-avalanches-from-a-safe-distance.aspx CEA-Leti to exhibit at Moutain Planet 2022 a wireless networked detonator originally developed for the mining industry, to allow ski patrol members to trigger controlled avalanches from a safe distance. New technologies Journalists ; general public ; Researchers ; Partners Leti News Tue, 26 Apr 2022 10:00:00 GMT Leading Semiconductor Players to Advance Next Generation FD-SOI Roadmap for Automotive, IoT and Mobile Applications https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/roadmap-fdsoi-stm-soitec-gf-cea.aspx CEA, Soitec, GlobalFoundries and STMicroelectronics working together to define technology and ecosystem roadmap. Institutional ; Micro-nanoelectronics Journalists ; Partners ; general public Grenoble Press release ; News ; Academic partnerships ; Focus IoT;semi-conducteurs;FD-SOI;automobile industrie;frugalité;mobiles;globalfunderies;ST Microelectronics;soitec; Fri, 08 Apr 2022 06:00:00 GMT Quantum sensors, measurement devices with unrivaled precision https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Quantum-sensors-measurement-devices-with-unrivaled-precision.aspx While quantum computers have become the Holy Grail in the quantum field, there is a much more advanced branch which harnesses the same properties, that of quantum sensors. In fact, these have become one of the key technological solutions in the quantum computer quest, not just to detect encoded information, but also in telecommunications or medical imaging, and numerous other applications. New technologies ; Physics ; Quantum Physics Researchers ; Companies ; Journalists Grenoble News quantum;diamon display;NV;black holes;quantum engineering;Physics;seisme;quantum computer; Thu, 31 Mar 2022 14:53:23 GMT CEA and Startup C12 Join Forces to Develop Next-Generation Quantum Computers with Multi-Qubit Chips at Wafer Scale https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-and-Startup-C12-Join-Forces-to-Develop-Next-Generation-Quantum-Computers-with-Multi-Qubit-Chips-at-Wafer-Scale.aspx CEA and C12 Quantum Electronics, a startup focused on developing the next-generation of quantum computers using carbon nanotubes, today announced a partnership to produce the first multi-qubit chips at wafer scale. New technologies general public ; Researchers ; Journalists Leti Press release semiconductor;qubit;digital;manufacturing;semiconducteur;science;quantique;quantum;wafer;semicon;technolgies; Thu, 24 Mar 2022 11:00:00 GMT CEA-Leti Scientist, Elisa Vianello, Receives €3 Million ERC Grant To Develop Nanoscale Memories Inspired by Insect Nervous Systems https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Elisa-Vianello-Receives-3-Million-To-Develop-Nanoscale-Memories.aspx CEA-Leti today announced that Elisa Vianello, senior scientist and Edge AI program coordinator, has received a €3 million grant from the European Research Council (ERC) to build nanoscale memory devices inspired by insect nervous systems for such applications as consumer robotics, implantable medical diagnostic microchips and wearable electronics. New technologies Researchers ; Companies ; Journalists Leti Press release nanotechnolgies;chip;Intelligence;ai;bayesian inference;be;Insect;biomimetics; Tue, 22 Mar 2022 11:00:00 GMT Tenfold reduction in ReRAM cell variability https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Tenfold-reduction-in-ReRAM-cell-variability.aspx A solution to the problem of excessive variability in ReRAM (resistive memory) could be found in Mott insulators, metals that conduct electricity in theory, but that turn out to be insulators. New technologies Researchers ; Companies ; Journalists Leti News mott insulators;ReRAM;memory;CNRS;data;storage; Fri, 18 Mar 2022 08:26:56 GMT Diabeloop shifts into high gear in France and around the globe https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Diabeloop-shifts-into-high-gear-in-France-and-around-the-globe.aspx Diabeloop obtained French national health insurance approval for its DBLG1 closed-loop automated insulin therapy device (DBLG1) in September. New technologies Journalists ; Researchers News diabetes;medtech;diabeloop; Thu, 10 Mar 2022 15:43:10 GMT Sylvie Menezo, a pioneer in future high performance, high-speed transmissions for data centers https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Sylvie-Menezo-low-cost-high-speed-transmissions-data-centers.aspx Scintil Photonics creates fully integrated silicon-based photonic circuits. In short, these circuits contain all the components that are required for optical communications, from lasers to modulators and photodetectors. The startup’s integrated circuits have two key advantages: they are quicker and less costly than existing solutions. New technologies Journalists ; Researchers ; Companies Leti News photonics;data;datacenter;silicium;high performance;optical;5G; Thu, 03 Mar 2022 11:00:00 GMT CEA and Spectronite Develop Software Radio For Spectrally Efficient Backhaul Solutions https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Spectronite-Software-Radio-Spectrally-Efficient-Backhaul-Solutions.aspx Adapted for Spectronite’s X-Series Modem for 5G Systems, the Technology Enables Carrier Aggregation that Provides Radio Links with 10Gb/s Capacity New technologies Journalists ; Companies ; Researchers Leti Press release Backhauling;software;mobile;press;Radio;5G;smartphone;spectrum; Tue, 01 Mar 2022 13:51:54 GMT CEA-Leti unveils its 2021 scientific report and trends that will shape 2022 https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-2021-scientific-report-trends-2022.aspx The 2021 CEA-Leti Scientific Report highlights the major inroads it has made over the past year. Industrial partners will find within the latest R&D available to drive their business value up. New technologies Researchers ; Journalists ; Companies Leti News report;cybersecurity;6G;Microelectronics;Carbon Footprint;zero emission;photonics;silicium;Telecom;telecommunication;Scientific report;research;IoT;IA; Tue, 01 Mar 2022 08:12:08 GMT Rose to develop artificial nose for patients suffering from loss of smell https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/CEA-Leti-Ayballe-loss-of-smell.aspx Since September, CEA-Leti and startup Aryballe have been contributing to the European Rose project to design a miniaturized artificial nose. New technologies Journalists ; Companies ; Researchers Leti News ultrasounds;nose;medecine;Europe;COVID-19;Artificial;european project; Mon, 21 Feb 2022 09:45:23 GMT Shedding new light on acute stress https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Shedding-new-light-on-acute-stress.aspx A CEA-Leti PhD candidate collaborated with scientists from Gipsa-Lab and the UGA psychology and neurocognition laboratory to determine whether acute stress can be detected and assessed using an explainable and interpretable “white box” method or not. New technologies Researchers ; Journalists News stress; Mon, 14 Feb 2022 15:18:23 GMT FD-SOI chips and mobile telephony: new international success https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/FD-SOI-chips-and-mobile-telephony-new-international-success--.aspx Present in connected speakers and GPS microchips, energy efficient FD-SOI technology has become a microelectronics staple in the past three years. Born in Grenoble at CEA, FD-SOI has now established itself on the smartphone market: it’s at the core of Google’s latest pixel 6 Pro. François Andrieu, an FD-SOI expert, and Eric Mercier, co-leader of the 5G/6G program at CEA, offer explanations. New technologies Journalists ; Researchers Grenoble News mobile;FD-SOI;semiconductor;radar;semicon;smartphone; Fri, 11 Feb 2022 10:52:23 GMT Odile Allard revolutionizes cancer thyroid surgery https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Odile-Allard-revolutionizes-thyroid-surgery.aspx Odile Allard founded Fluoptics in 2009. A CEA-Leti spin-off, which became a world leader in fluorescence imaging for thyroid surgery. New technologies Journalists ; Researchers Leti News medecine;fluorescence;Tumor;Imaging;Cancer;surgery; Mon, 07 Feb 2022 15:55:35 GMT Advance in biomaterials marks a step toward personalized medical implants https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Advance-in-biomaterials-marks-a-step-toward-personalized-medical-implants.aspx The EU H2020 PANBioRA project, which will be completed at the end of 2021, is making advances that will one day help doctors optimize the biomaterials used to make medical implants on a patient-by-patient basis. New technologies Researchers ; Journalists News chip;microfluidic; Tue, 25 Jan 2022 11:00:00 GMT Neuro illumination: the Clinatec endowment fund is expanding its team https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Clinatec-endowment-fund-hires-new-neuroillumination-researchers.aspx The Clinatec endowment fund has earned the support of several patrons, including the Covea mutual insurance company, since 2020. New technologies Journalists ; Researchers Leti News Alzheimer's Disease;Parkinson's disease;Clinatec;neuroillumination; Thu, 20 Jan 2022 11:00:00 GMT Primo1D is revolutionizing textile thread thanks to RFID https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Primo1D-is-revolutionizing-textile-thread-thanks-to-RFID-.aspx Primo1D miniaturizes RFID devices to integrate them into a textile thread, a true alternative to the detachable and cumbersome RFID tag. New technologies Researchers ; Journalists Leti News RFID;memory;textile;start-up;chip; Tue, 18 Jan 2022 15:13:36 GMT Injectpower, for implantable monitoring devices that last https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Injectpower,-for-implantable-monitoring-devices-that-last.aspx The problem with implantable medical monitoring devices for organs like the eye, brain, or heart, is that the power sources are often larger than the actual sensors. New technologies Researchers ; Journalists News medtech;batteries;monitoring;organs;medecine; Wed, 12 Jan 2022 08:47:31 GMT SET, from the French Alps to the world https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/SET-from-the-french-alps-to-the-world.aspx SET (Smart Equipment Technology) is a small high-accuracy semiconductor process equipment company based in Haute-Savoie in the French Alps. This CEA-Leti and IRT Nanoelec partner recently signed an agreement with SUSS MicroTec to develop the NEO HB flip-chip machine for die-to-wafer (D2W) hybrid bonding. New technologies Researchers ; Journalists Leti News wafer;flip-chip;partnership;puces; Mon, 10 Jan 2022 08:58:00 GMT AMI - Enriching and facilitating interactions with the digital world https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/AMI---Enriching-and-facilitating-interactions-with-the-digital-world.aspx ISKN, now AMI, revolutionized the relationship between physical objects and the digital world through a smart “Slate” that digitizes drawings, notes, and sketches in real time. New technologies News Drawing;augmented reality;magnetism; Tue, 21 Dec 2021 09:38:31 GMT Invited CEA-Leti Paper at IEDM 2021 Identifies Main Challenges Facing Large-Scale Si Quantum Computing https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/Invited-CEA-Leti-Paper-at-IEDM-2021-Identifies--Main-Challenges-Facing-Large-Scale-Si-Quantum-Computing.aspx CEA, in collaboration with CNRS Néel, a leading team in SI-based quantum computing, presented two papers on that topic at IEDM 2021, including an invited paper that identifies the material and integration challenges facing large-scale Si quantum computing. The second paper presents a novel Si quantum device integration that reduces by half the effective gate pitch and provides full controllability in 1D FDSOI quantum dot (QD) arrays. New technologies News ; Press release quantum;IEDM;quantum computing; Thu, 16 Dec 2021 11:00:00 GMT CES 2022: CEA-Leti to Unveil World’s-First Multi-Sensor System For Knee-Implants That Improves Surgery Accuracy, Detects Infection Early and Enhances Rehabilitation https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CES-2022-CEA-Leti-Knee-Implants.aspx CEA-Leti, a CEA microelectronics research institute, will introduce a smart, integrated multi-sensor system for knee implants at CES 2022 that can help surgeons more accurately position the implant, dramatically reduce risk of follow-up surgery, and enhance rehabilitation. New technologies News ; Press release implant;health;press release;medtech;CES; Thu, 16 Dec 2021 11:00:00 GMT HfO2-Based FeRAM Arrays Designed & Fabricated at CEA-Leti Bring the Technology Closer to Manufacturability https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/HfO2-Based-FeRAM-Arrays-Designed--Fabricated-at-CEA-Leti-Bring-the-Technology-Closer-to-Manufacturability.aspx CEA-Leti has reported the world’s-first demonstration of 16-kbit ferroelectric random-access memory (FeRAM) arrays at the 130nm node that advances this energy-saving technology closer to commercialization. The breakthrough includes back-end-of-line (BEOL) integration of TiN/HfO2:Si/TiN ferroelectric capacitors as small as 0.16 µm², and solder reflow compatibility for the first time for this type of memory. New technologies News ; Press release IEDM;memory;FeRAM; Wed, 15 Dec 2021 11:00:00 GMT Aryballe offers a universal electronic nose https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/Aryballe-offers-a-universal-electronic-nose.aspx ​This digital sniffer can recognize thousands of smells from the most delicate (flowers, perfumes, fruits and monitoring their ripening) to the less pleasant (putrefaction, ammonia, etc.). New technologies Journalists ; general public Grenoble News Thu, 09 Dec 2021 11:00:00 GMT White LEDs : On route towards substitutes for critical materials https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/News/White-LEDs-On-route-towards-substitutes-for-critical-materials-.aspx With CEA-Leti’s support, LMGP, Institut Néel, and applied economics lab GAEL have been investigating the rare and critical elements (gallium, indium, yttrium, and cerium) in white LEDs. New technologies general public ; Journalists Grenoble News Rare;ecology;Material;sustainability;LED; Tue, 07 Dec 2021 11:00:00 GMT CEA-Leti Research Team Proposes New Approach for Next-Generation Memories with RRAM Energy-Storage Breakthrough https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Research-Team-Proposes-New-Approach-for-Next-Generation-Memories-with-RRAM-Energy-Storage-Breakthrough.aspx ‘Radically Different’ Concept Enables ‘Dual-Behavior’ DevicesThat Can Store Data and Energy New technologies Journalists ; Companies ; Researchers Leti Press release RRAM;Energy storage;Memories;research; Thu, 02 Dec 2021 14:00:00 GMT CEA-Leti Unveils Breakthrough for Mass-Market, High-Performance, Navigation-Grade Gyroscopes https://www.cea.fr/cea-tech/leti/english/Pages/What's-On/Press release/CEA-Leti-Unveils-Breakthrough-for-Mass-Market-High-Performance-Navigation-Grade-Gyroscopes.aspx CEA-Leti scientists, in collaboration with researchers at Politecnico di Milano (POLIMI), have developed the world’s smallest-footprint MEMS gyroscope that is capable of providing navigation-grade performance. The researchers were able to meet these specifications with a sensor footprint of only 1.3 mm2 by leveraging nano-resistive sensing. New technologies Journalists ; Researchers Press release press release;MEMS;gyroscope;cars; Sun, 28 Nov 2021 11:00:00 GMT